Email: alvabre@unizar.es

Address: Campus Río Ebro, University of Zaragoza
C/María de Luna 1, Ada Byron Building,
50018, Zaragoza, Spain

ABOUT ME

Alejandro Valero received the PhD degree in Computer Engineering from the Universitat Politècnica de València, Spain, in 2013. From 2013 to 2015 he was a Visiting Researcher with Northeastern University, Boston, MA, USA, and the University of Cambridge, UK. Since 2016, he has been a Professor with the Department of Computer Science and Systems Engineering, Universidad de Zaragoza, Spain, where he teaches several courses on computer organization, including Introduction to Computer Systems, Operating Systems, Data Center Design, and Programming and Architecture of Heterogeneous Computing Systems. His PhD research contributions to the design of high-performance and energy-efficient CPU on-chip memory hierarchies were recognized with the Intel Doctoral Student Honor Program Award and the ACM Student Research Competition Award in 2012 and 2013, respectively. His current research interests mainly focus on emerging memory technologies and the design of GPU and ASIC architectures in terms of performance, energy efficiency, and reliability. Dr. Valero has participated in several national and local funded projects, and has published in the main venues of his area, including the International Symposium on Microarchitecture (MICRO), the International Conference on Parallel Architectures and Compilation Techniques (PACT), the International Conference on Supercomputing (ICS), IEEE Transactions on Computers, and IEEE Transactions on VLSI Systems. He has served as Program Committee Member and Referee in a significant number of conferences, journals, and workshops. Dr. Valero is a member of the Aragon Institute of Engineering Research (I3A) and the HiPEAC European NoE.

PUBLICATIONS
33 entries « 7 of 7 »

0000

Journal Articles

Valero, Alejandro; Candel, Francisco; Petit, Salvador; Suárez-Gracia, Darío; Sahuquillo, Julio

Reduccion del Efecto BTI en el Banco de Registros de las GPU Journal Article

In: 0000.

BibTeX

Valero, Alejandro; Sahuquillo, Julio; Petit, Salvador; López, Pedro; Duato, José

Prestaciones y consumo de caches híbridas variando la proporción de bancos SRAM Journal Article

In: 0000.

BibTeX

Valero, Alejandro; Sahuquillo, Julio; Petit, Salvador; López, Pedro; Duato, José

Incremento en las prestaciones del algoritmo pMRU mediante el uso de una cache víctima Journal Article

In: 0000.

BibTeX

33 entries « 7 of 7 »