355 registros « 1 de 18 »

2023

Artículos de revista

Navarro-Torres, Agustín; Alastruey-Benedé, Jesús; Ibáñez, Pablo; Viñals-Yúfera, Víctor

BALANCER: bandwidth allocation and cache partitioning for multicore processors Artículo de revista

En: The Journal of Supercomputing, pp. 1–25, 2023.

Enlaces | BibTeX

López-Villellas, Lorién; Mikkelsen, Carl Christian Kjelgaard; Galano-Frutos, Juan José; Marco-Sola, Santiago; Alastruey-Benedé, Jesús; Ibáñez, Pablo; Moretó, Miquel; Sancho, Javier; García-Risueño, Pablo

Accurate and efficient constrained molecular dynamics of polymers using Newton’s method and special purpose code Artículo de revista

En: Computer Physics Communications, vol. 288, pp. 108742, 2023, ISSN: 0010-4655.

Resumen | Enlaces | BibTeX

2022

Artículos de revista

Langarita, Rubén; Armejach, Adrià; Setoain, Javier; Ibáñez-Marín, Pablo; Alastruey-Benedé, Jesús; Moretó, Miquel

Compressed Sparse FM-Index: Fast Sequence Alignment Using Large K-Steps Artículo de revista

En: IEEE ACM Trans. Comput. Biol. Bioinform., vol. 19, no 1, pp. 355–368, 2022.

Enlaces | BibTeX

Proceedings Articles

Navarro-Torres, Agustín; Panda, Biswabandan; Alastruey-Benedé, Jesús; Ibáñez, Pablo; Yúfera, Víctor Viñals; Ros, Alberto

Berti: an Accurate Local-Delta Data Prefetcher Proceedings Article

En: 55th IEEE/ACM International Symposium on Microarchitecture, MICRO 2022, Chicago, IL, USA, October 1-5, 2022, pp. 975–991, IEEE, 2022.

Enlaces | BibTeX

Escuin, Carlos; Khan, Asif Ali; Ibáñez, Pablo; Monreal, Teresa; Viñals, Víctor; Castrillón, Jerónimo

HyCSim: A rapid design space exploration tool for emerging hybrid last-level caches Proceedings Article

En: DroneSE and RAPIDO ’22: System Engineering for constrained embedded systems, Budapest Hungary, January 17 – 19, 2022, pp. 53–58, ACM, 2022.

Enlaces | BibTeX

2021

Artículos de revista

Valero, Alejandro; Tejero, Ruben Gran; Gracia, Darío Suárez; Georgescu, Emanuel A.; Ezpeleta, Joaquín; Álvarez, Pedro; Muñoz, Adolfo; Ramos, Luis M.; Ibáñez, Pablo

A learning experience toward the understanding of abstraction-level interactions in parallel applications Artículo de revista

En: J. Parallel Distributed Comput., vol. 156, pp. 38–52, 2021.

Enlaces | BibTeX

Díaz, Javier; Ibáñez, Pablo; Monreal, Teresa; Viñals, Víctor; Llabería, José M.

Near-optimal replacement policies for shared caches in multicore processors Artículo de revista

En: J. Supercomput., vol. 77, no 10, pp. 11756–11785, 2021.

Enlaces | BibTeX

Alcolea, Adrián; Resano, Javier

FPGA Accelerator for Gradient Boosting Decision Trees Artículo de revista

En: Electronics, vol. 10, no 3, pp. 314, 2021.

BibTeX

Díaz, Javier; Ibáñez, Pablo; Monreal, Teresa; Viñals, Víctor; Llabería, José M

Near-optimal replacement policies for shared caches in multicore processors Artículo de revista

En: The Journal of Supercomputing, pp. 1–30, 2021.

BibTeX

Lamela, Adrián; Ossorio, Óscar G; Vinuesa, Guillermo; Sahelices, Benjamín

Off-chip prefetching based on Hidden Markov Model for non-volatile memory architectures Artículo de revista

En: PLOS ONE, vol. 16, no 9, pp. 1-23, 2021.

Enlaces | BibTeX

Segarra, Juan; Tejero, Ruben Gran; Viñals, Víctor

A generic framework to integrate data caches in the WCET analysis of real-time systems Artículo de revista

En: J. Syst. Archit., vol. 120, pp. 102304, 2021.

Enlaces | BibTeX

Proceedings Articles

Navarro-Torres, Agustín; Alastruey-Benedé, Jesús; Ibáñez-Marín, Pablo; Carpen-Amarie, Maria

Synchronization Strategies on Many-Core SMT Systems Proceedings Article

En: 33rd IEEE International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2021, Belo Horizonte, Brazil, October 26-29, 2021, pp. 54–63, IEEE, 2021.

Enlaces | BibTeX

2020

Artículos de revista

Herruzo, Jose Manuel; González-Navarro, Sonia; Ibáñez-Marín, Pablo; Yúfera, Víctor Viñals; Alastruey-Benedé, Jesús; Plata, Oscar G.

Accelerating Sequence Alignments Based on FM-Index Using the Intel KNL Processor Artículo de revista

En: IEEE ACM Trans. Comput. Biol. Bioinform., vol. 17, no 4, pp. 1093–1104, 2020.

Enlaces | BibTeX

Yániz, Jesús; Alquézar-Baeta, Carlos; Yagüe-Martínez, Jorge; Alastruey-Benedé, Jesús; Palacín, Inmaculada; Boryshpolets, Sergii; Kholodnyy, Vitaliy; Gadêlha, Hermes; Pérez-Pe, Rosaura

Expanding the Limits of Computer-Assisted Sperm Analysis through the Development of Open Software Artículo de revista

En: Biology, vol. 9, no 8, 2020, ISSN: 2079-7737.

Resumen | Enlaces | BibTeX

Moreno, Adrián Alcolea; Olivito, Javier; Resano, Javier; Mecha, Hortensia

Analysis of a Pipelined Architecture for Sparse DNNs on Embedded Systems Artículo de revista

En: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 28, no 9, pp. 1993–2003, 2020.

BibTeX

Alcolea, Adrián; Paoletti, Mercedes E; Haut, Juan M; Resano, Javier; Plaza, Antonio

Inference in supervised spectral classifiers for on-board hyperspectral imaging: An overview Artículo de revista

En: Remote Sensing, vol. 12, no 3, pp. 534, 2020.

BibTeX

Haut, Juan M; Alcolea, Adrian; Paoletti, Mercedes E; Plaza, Javier; Resano, Javier; Plaza, Antonio

GPU-Friendly Neural Networks for Remote Sensing Scene Classification Artículo de revista

En: IEEE Geoscience and Remote Sensing Letters, 2020.

BibTeX

Pedro-Zapater, Alba; Rodríguez, Clemente; Segarra, Juan; Tejero, Rubén Gran; Viñals-Yúfera, Víctor

Ideal and Predictable Hit Ratio for Matrix Transposition in Data Caches Artículo de revista

En: Mathematics, vol. 8, no 2, pp. 184, 2020.

BibTeX

Segarra, Juan; Cortadella, Jordi; Tejero, Rubén Gran; Viñals, Victor

Automatic Safe Data Reuse Detection for the WCET Analysis of Systems with Data Caches Artículo de revista

En: IEEE Access, 2020.

BibTeX

Pedro-Zapater, Alba; Segarra, Juan; Tejero, Rubén Gran; Viñals, Víctor; Rodríguez, Clemente

Reducing the WCET and analysis time of systems with simple lockable instruction caches Artículo de revista

En: Plos one, vol. 15, no 3, pp. e0229980, 2020.

BibTeX

355 registros « 1 de 18 »