Senior Lecturer

Email: imarin@unizar.es

Address: Campus Río Ebro, University of Zaragoza
C/María de Luna 1, Ada Byron Building,
50018, Zaragoza, Spain

ABOUT ME

PUBLICATIONS
72 entries « 4 of 15 »

2019

Proceedings Articles

Herruzo, Jose M; Gonzalez-Navarro, Sonia; Ibañez, Pablo; Viñals, Victor; Alastruey-Benedé, Jesús; Plata, Oscar

Boosting Backward Search Throughput for FM-Index Using a Compressed Encoding Proceedings Article

In: 2019 Data Compression Conference (DCC), pp. 577–577, IEEE 2019.

BibTeX

Herruzo, Jose Manuel; González-Navarro, Sonia; Ibáñez, Pablo; Viñals, Víctor; Alastruey-Benedé, Jesús; Plata, Oscar G.

Boosting Backward Search Throughput for FM-Index Using a Compressed Encoding Proceedings Article

In: Bilgin, Ali; Marcellin, Michael W.; Serra-Sagristà, Joan; Storer, James A. (Ed.): Data Compression Conference, DCC 2019, Snowbird, UT, USA, March 26-29, 2019, pp. 577, IEEE, 2019.

Links | BibTeX

Valero, Alejandro; Gracia, Darío Suárez; Tejero, Ruben Gran; Ramos, Luis M.; Navarro-Torres, Agustín; Muñoz, Adolfo; Ezpeleta, Joaquín; Briz, José Luis; Murillo, Ana C.; Montijano, Eduardo; Resano, Javier; Villarroya-Gaudó, María; Alastruey-Benedé, Jesús; Torres, Enrique F.; Álvarez, Pedro; Ibáñez, Pablo; Viñals, Víctor

Exposing Abstraction-Level Interactions with a Parallel Ray Tracer Proceedings Article

In: Proceedings of the Workshop on Computer Architecture Education, WCAE@ISCA 2019, Phoenix, AZ, USA, June 22, 2019, pp. 5:1–5:8, ACM, 2019.

Links | BibTeX

Blasco, Carlos Escuín; Arnal, Teresa Monreal; Griñó, José M Llaberia; Yúfera, Victor Viñals; Marín, Pablo Ibáñez

STT-RAM memory hierarchy designs aimed to performance, reliability and energy consumption Proceedings Article

In: ACACES 2019: July 17, 2019, Fiuggi, Italy: poster abstracts, pp. 231–234, European Network of Excellence on High Performance and Embedded Architecture~… 2019.

BibTeX

2018

Journal Articles

Herruzo, Jose Manuel; Navarro, Sonia González; Ibánez, Pablo; Yufera, Víctor Viíals; Alastruey, Jesús; Plata, Oscar

Accelerating Sequence Alignments Based on FM-Index Using the Intel KNL Processor Journal Article

In: IEEE/ACM transactions on computational biology and bioinformatics, 2018.

BibTeX

72 entries « 4 of 15 »