355 entries « 15 of 18 »

1999

Proceedings Articles

Monreal, Teresa; González, Antonio; Valero, Mateo; González, José; Viñals, Victor

Delaying physical register allocation through virtual-physical registers Proceedings Article

In: MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp. 186–192, IEEE 1999.

BibTeX

Monreal, Teresa; González, Antonio; Valero, Mateo; González, José; Viñals, Victor

Delaying Physical Register Allocation Through Virtual-Physical Registers Proceedings Article

In: Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp. 186-192, Institute of Electrical & Electronics Engineers (IEEE) IEEE Computer Society, Haifa, Israel, 1999.

BibTeX

1998

Journal Articles

Fandos, José Luis; Alvarez, Juan Manuel; Aranda, Javier Thibault; Briz, José Luis

El teletrabajo Journal Article

In: Acciones e Investigaciones Sociales, no. 8, pp. 201–234, 1998.

BibTeX

Aranda, Javier Thibault; Velasco, José Luis Briz; Fandos, José Luis; López, Juan Manuel

El teletrabajo Journal Article

In: Acciones e investigaciones sociales, no. 8, pp. 0203–233, 1998.

BibTeX

Proceedings Articles

Ibáñez, Pablo; Viñals, Víctor; Briz, José L; Garzarán, María J

Characterization and improvement of load/store cache-based prefetching Proceedings Article

In: Proceedings of the 12th international conference on Supercomputing, pp. 369–376, Citeseer 1998.

BibTeX

Briz, José Luis

Evaluación de métodos de simulación secuencial de modelos de control basados en redes de Petri Proceedings Article

In: V Seminario Anual de Automática, Electrónica Industrial e Instrumentación: SAAEI 98: Universidad Pública de Navarra, Pamplona, 15-18 de septiembre de 1998, pp. 533–536, Iberdrola Instituto Tecnológico 1998.

BibTeX

1997

Proceedings Articles

González, Antonio; Valero, Mateo; González, José; Monreal, Teresa

Virtual registers Proceedings Article

In: Proceedings Fourth International Conference on High-Performance Computing, pp. 364–369, IEEE 1997.

BibTeX

1996

Proceedings Articles

Ochoa, Luis Jimeno M; Ibanez, Pablo E; Viñals, V

Warm time-sampling: Fast and accurate cycle-level simulation of cache memory Proceedings Article

In: Proceedings of the 22nd Euromicro International Conference Short Contributions, 1996.

BibTeX

Ibanez, Pablo; Vinals, Victor

Performance assessment of contents management in multilevel on-chip caches Proceedings Article

In: Proceedings of EUROMICRO 96. 22nd Euromicro Conference. Beyond 2000: Hardware and Software Design Strategies, pp. 431–440, IEEE 1996.

BibTeX

1995

Journal Articles

Briz, José Luis

Técnicas de implementación de redes de Petri Journal Article

In: Ciencia de los ordenadores, Univ. Zaragoza, Zaragoza, 1995.

BibTeX

1994

Books

Valles, Fernando García; Saldaña, Francisco José Lacruz; Yúfera, Víctor Viñals

Sistemas lógicos Book

Universidad, Centro Politécnico Superior,[Departamento de Ingeniería~…, 1994.

BibTeX

Proceedings Articles

Briz, José Luis; Colom, José Manuel

Implementation of weighted place/transition nets based on linear enabling functions Proceedings Article

In: International Conference on Application and Theory of Petri Nets, pp. 99–118, Springer 1994.

BibTeX

Briz, JL; Colom, JM; Silva, M

Simulation of Petri nets and linear enabling functions Proceedings Article

In: Proceedings of IEEE International Conference on Systems, Man and Cybernetics, pp. 1671–1676, IEEE 1994.

BibTeX

1989

Journal Articles

Rodriguez, C; Viñals, V; Labarta, J; Beivide, R

Cache memory reassignation and its impact on multiprocessor performance Journal Article

In: International journal of mini & microcomputers, vol. 11, no. 1, pp. 9–12, 1989.

BibTeX

1987

PhD Theses

Yúfera, Víctor Viñals

Medidas del comportamiento de programas basadas en la imitación PhD Thesis

Universitat Politécnica de Catalunya (UPC), 1987.

BibTeX

1985

Proceedings Articles

Lafuente, Clemente Rodríguez; Yúfera, Víctor Viñals; Labarta, Jesús

Compartición de espacio entre instrucciones Proceedings Article

In: Actas del VI Congreso de Informática y Automática, pp. 215–226, ETSI de Telecomunicación 1985.

BibTeX

Olive, A; Viñals, V; Rodriguez, C

Identifying influencing factors on Branch Target Cache Memory performance Proceedings Article

In: Mini and Microcomputers and their applications, pp. 365–367, Servicio de Publicaciones 1985.

BibTeX

1791

Journal Articles

Serrano-Gracia, María Astón; Kjelgaard-Mikkelsen, Carl Christian; Alastruey-Benedé, Jesús; Ibáñez-Marín, Pablo; García-Risueño, Pablo; Ortín, M; Ramini, L; Tatenguem, H; Viñals, V; Bertozzi, D; others,

Implementació? n de un nuevo algoritmo para imponer ligaduras en Diná? mica Molecular Journal Article

In: XXV Jornadas de Paralelismo, vol. 1, pp. 3–10, 1791.

BibTeX

0000

Journal Articles

Resano, Javier; Perez, Elena; Mozos, Daniel; Mecha, Hortensia; Septién, Julio

A New Approach to Execution Time Estimations in a Hardware/Software Codesign Environment Journal Article

In: 0000.

BibTeX

Valero, Alejandro; Gracia, Darío Suárez; Tejero, Rubén Gran; Ramos, Luis M; Navarro-Torres, Agustín; Munoz, Adolfo; Ezpeleta, Joaquín; Briz, José Luis; Murillo, Ana C; Montijano, Eduardo; others,

Experimentacion Preliminar con un Trazador de Rayos para Relacionar Niveles de Abstraccion Journal Article

In: 0000.

BibTeX

355 entries « 15 of 18 »